Carrera: Ingenieria Electrónica
Clave de la asignatura: ETF-1014
Horas teoría-horas práctica-créditos: 3-2-5

ING. MIGUEL ANGEL PÉREZ SOLANO CUB. No. 3
INGENIERO EN COMUNICACIONES Y ELECTRÓNICA/MAESTRIA EN EDUCACIÓN
ESCUELA SUPERIOR DE INGENIERIA MECÁNICA Y ELÉCTRICA (ESIME)- IPN






Ser un buen ingeniero no sólo consiste en tener dominada la teoría, sino también en la destreza de aplicarla en la práctica.
 


BIENVENIDOS AL SEMESTRE AGOSTO-DICIEMBRE 2023

REQUISITOS NECESARIOS PARA TENER EXITO AL CURSAR ESTA MATERIA

  • Haber cursado y aprobado el curso de Programación Estructurada (lenguaje C).
  • Haber cursado y aprobado la materia de Mediciones eléctricas, cumpliendo todos los objetivos.
  • Read technical documents and understand videos in english (very, very important).
  • Contar con un pen drive de 32 GB minimo. Organizar la memoria de la siguente manera:
        • EJERCICIOS
          • Cuestionario # 1 Conceptos básicos de lógica digital (resuelto).
          • Cuestionario #2 Conversion entre sistemas numéricos.(resuelto)
          • Cuestionario #3 Aritmetica binaria (unsigned). (resuelto)
          • Cuestionario #4 Aritmetica binaria signada (signed) (resuelto).
          • Cuestionario #5 Algebra Booleana (resuelto).
        • CODIGOS VERILOG
          • LAB #1
          • LAB #2
          • LAB #3
          • LAB #4
  • Tener computadora personal en casa y ser competente en su manejo.
  • Ser disciplinado en la puntualidad y orden en sus apuntes y material de clase. Para esto debe contar con un cuaderno único para los apuntes de esta materia. 
  • Manifestar habilidades para trabajar en equipo.
  • Contar con la bata antiestatica para asistir a las prácticas de laboratorio, color; Blanca o Azul (a partir de la semana del 28 de agosto, es requisito para entrar a laboratorio).
 
 Presentación 
Este curso trata del diseño de sistemas digitales, taambien llamado DISEÑO LOGICO. Este proceso da lugar al nombre la materia; DISEÑO DIGITAL. Un sistema digital es aquel en el que todas las señales que procesan estan representadas por valores discretos. Las computadoras y calculadoras son ejemplos obvios, pero la mayoria de los sistemas (equipos) electronicos contienen una gran cantidad de electrónica trabajando con lógica digital. Internamente los sistemas digitales por lo general operan con señales de dos valores, que se etiquetan com 0 y 1, conociendose como estados lógicos o bits (binary digital).

La manifestacion física de tales estados lógicos es mediante dos voltajes (ej. 1=5V y 0= 0V) conocidos como niveles lógicos de voltaje. La generacion electrónica de una señal discreta de 2 niveles de voltaje, se da mediante el proceso de conmutacion de un transistores, proceso que se explicará en clase.

Es muy importante saber que arreglos de transistores en conmutacion dan lugar a las compuertas lógicas, estas son, las compuertas; AND, OR, NOT, NAND, NOR, XOR y XNOR, que ejecutan operaciones lógicas. Asi tambien la conexion de compuertas lógicas mediante un diseño basado en algebra de Boole, da lugar a circuitos lógicos, circuitos que realizan una función logica. Es importante recalcar que los circuitos lógicos que se construyen en la electronica digital se dividen en; Combinacionales y Secuenciales.

Una vez que se ya se tienen identificados los diferentes circuitos lógicos, se da lugar al diseño digital de equipos electronicos (sistemas digtales) que realizan las tareas de proceso de datos binarios. Este tipo de sistemas digitales son conocidos como computadoras.


                         

Diseño de sistemas digitales digital. Thomas L. Floyd. 9a. Ed.

  • Comprender, analizar, diseñar y simular circuitos digitales básico: Combinacionales y secuenciales tanto síncronos como asíncronos.
  • Diseñar los circuitos digitales utilizando herramientas CAD y lenguages descriptivos de hardware (HDL) para implementarlos en FPGAs
  • Construye prototipos con las bases de diseño digital para desarrollar su capacidad creativa y emprendedora.

 TEMARIO (bajarlo en pdf)

UNIDAD 1: FUNDAMENTOS DE DISEÑO DIGITAL.


1.1 Diferencias entre sistemas digitales y sistemas analógicos (ok).
1.2. Sistemas numéricos (ok).
1.2.1. Binario (ok).
1.2.2. Octal (ok).
1.2.3. Hexadecimal (ok)..
1.2.4. Conversión entre sistemas numéricos (ok).
1.3 Códigos Binarios.
          1.3.1 Código BCD (aritmético).
          1.3.2 Código XS-3 (aritmético).
          1.3.3 Código Gray (no-aritmético).
          1.3.4 Código ASCII (alfanumérico).
1.4 Aritmética Binaria con números no signados (unsigned), y signados (signed).
1.5. Compuertas lógicas (ok).
1.6. Familias lógicas de circuitos integrados.
1.7. Algebra booleana.
1.7.1. Postulados y teoremas booleanos.
1.7.2. Simplificación de funciones..
RECURSOS ACTIVIDADES
R1.1 Plantillas de la unidad I.                                          Cuestionario # 1 Conceptos básicos de lógica digital (resuelto).
R1.2 Texto: "Compuertas Lógicas" Cuestionario #2 Conversion entre sistemas numéricos.(resuelto)
R1.3  Texto; "Algebra Booleana" Cuestionario #3 Aritmetica binaria (unsigned). (resuelto)
R1.4  Guia sobre lenguaje ensamblador Cuestionario #4 Aritmetica binaria signada (signed)
R1.5 (Video) BCD code.
R1.6 (Video) ASCII code. LAB #1 COMPUERTAS LOGICAS.
R1.7 (Software): Digital Circuit Virtual Builder . Examen_Práctico 7_8

Examen_Práctico 8_9


UNIDAD II: LOGICA COMBINACIONAL.


RECURSOS ACTIVIDADES
 R2.1 Texto "Analisis de cuircuitos combinatorios.
UNIDAD III: DISEÑO COMBINACIONAL EN SSI

RECURSOS ACTIVIDADES
UNIDAD IV: DISEÑO COMBINACIONAL EN MSI
RECURSOS ACTIVIDADES
UNIDAD V: LOGICA SECUENCIAL 
RECURSOS ACTIVIDADES
UNIDAD VI: INTRODUCCION AL LENGUAJE VERILOG CIRCUITOS
COMBINACIONALES
RECURSOS ACTIVIDADES


ISE Webpack 14.7 and VHDL

  1. Licencia para ISE Webpack. 
  2. Instrucciones para instalar y  activar la plataforma IDE en Windows 10
  3. Tutoriales para instalacion de ISE Webpack: <<INSTALAR>>       <<ACTIVAR>>    < <<ADECUACION A WINDOWS 10>>>
  4. VHDL reference manual.
VIDEOS QUE LES SIRVEN DE APOYO PARA SU FORMACION COMO INGENIEROS DE HARDWARE.

1.- Tutorial básico de ISE Xilinx:
2.- VHDL basics by INTEL
.

VIVADO and  VERILOG (HDL)
Verilog is a Hardware Description Language (HDL) which can be used to describe digital circuits in a textual manner. We will write our design for FPGA using Verilog (as if you write microcontroller programs in C and Assembly). Learning Verilog is not that hard if you have some programming background. VHDL is also another popular HDL used in the industry extensively. Verilog and VHDL share more or less same market popularity,  Verilog  is easy to learn and its syntactical similarity to C language. Once you are comfortable with Verilog, it should be easy to learn VHDL as well. Want to read more about Verilog? Check out this wiki page (http://en.wikipedia.org/wiki/Verilog) or check this tutorial (http://www.asic-world.com/verilog/index.html).


1.-Learning FPGA and  VERILOG: A beginner´s guide.

 
VIDEOS QUE LES SIRVEN DE APOYO PARA SU FORMACION COMO INGENIEROS DE HARDWARE.


1.- SYNTHESIZING AND DESIGN with VIVADO in Xilinx VERILOG platform
.
2.- VERILOG  HDL basucs by Altera-Intel.

TEORIA SOBRE LOS FPGA´s

VIDEOS QUE LES SIRVEN DE APOYO PARA SU FORMACION COMO INGENIEROS DE HARDWARE

1.- Introduccion a los FPGA´s  para la industria (Parte 1).   (Parte 2).

TEAMWORKS
    
Free Web Hosting